Skip to content

Commit

Permalink
bootloader: add makefile
Browse files Browse the repository at this point in the history
  • Loading branch information
ra1nb0w committed Apr 16, 2021
1 parent ef004d2 commit 3341257
Show file tree
Hide file tree
Showing 3 changed files with 24 additions and 1 deletion.
2 changes: 2 additions & 0 deletions bootloader/.gitignore
Original file line number Diff line number Diff line change
Expand Up @@ -64,3 +64,5 @@
!binary/
!docs/
!README.org

!Makefile
3 changes: 2 additions & 1 deletion bootloader/Bootloader.qsf
Original file line number Diff line number Diff line change
Expand Up @@ -71,6 +71,7 @@ set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_NCE_PIN OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 3.3V
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY build
set_location_assignment PIN_AA11 -to INA_CLK
set_location_assignment PIN_B12 -to PHY_CLK125
set_location_assignment PIN_C13 -to PHY_MDC
Expand Down Expand Up @@ -170,4 +171,4 @@ set_global_assignment -name QIP_FILE ddio_in.qip
set_global_assignment -name QIP_FILE ddio_out.qip
set_global_assignment -name VERILOG_FILE Flash.v
set_global_assignment -name VERILOG_FILE mcu.v
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
20 changes: 20 additions & 0 deletions bootloader/Makefile
Original file line number Diff line number Diff line change
@@ -0,0 +1,20 @@
## Quartus tools quartus_sh and quartus_cpf must be in your path

PROJECT = Bootloader
TOP_LEVEL_ENTITY = Bootloader

all: build/$(PROJECT).sof

build/$(PROJECT).sof:
quartus_sh --flow compile $(PROJECT)

recompile:
quartus_sh --flow recompile $(PROJECT)

clean:
rm -rf build

cleanall:
rm -rf build db incremental_db greybox_tmp

.PHONY: all recompile clean cleanall

0 comments on commit 3341257

Please sign in to comment.